Mostrar el registro sencillo del documento

dc.rights.licenseReconocimiento 4.0 Internacional
dc.contributor.advisorOsorio Londoño, Gustavo Adolfo
dc.contributor.authorCastaño Londoño, Luis Fernando
dc.date.accessioned2021-10-08T22:38:18Z
dc.date.available2021-10-08T22:38:18Z
dc.date.issued2021-09
dc.identifier.urihttps://repositorio.unal.edu.co/handle/unal/80466
dc.descriptionDigital
dc.description.abstractUn esquema muy usado en la computación científica se conoce como computación con esténcil. Es el núcleo central de algoritmos de álgebra lineal, ecuaciones diferenciales parciales (EDP) y procesamiento de imágenes. Sin embargo, el desempeño de los algoritmos basados en esténcil, está limitado por la notable diferencia entre el máximo rendimiento de procesamiento y el máximo ancho de banda de memoria en los sistemas multinúcleo y unidades de computación gráfica (GPU). Por esta razón el estudio de métodos para su optimización ha sido de gran interés. Algunos métodos se basan en la optimización del empleo de memoria, sobre los cuales se han desarrollado diversos trabajos en sistemas basados en CPU y arquitecturas heterogéneas. Debido a que con estos métodos de optimización persisten limitaciones en el rendimiento, algunos autores han propuesto esquemas para sistemas basados en arreglos de compuertas programables en campo (FPGA). En esta tesis doctoral se presentan dos metodologías para la optimización de arquitecturas basadas en FPGA para la computación con esténcil. Para algunas arquitecturas el diseño se realiza a nivel de hardware con base en el modelo de Glushkov utilizando VHDL. En otros casos se realiza codiseño hardware/software utilizando herramientas de síntesis de alto nivel. Como casos de estudio se propone la implementación y evaluación de rendimiento de una arquitectura basada en esténcil para la aproximación a la solución de problemas de propagación de calor modelados con la ecuación de calor unidimensional y la ecuación de Laplace bidimensional. Se proponen transformaciones en las arquitecturas y códigos basados en esténcil para el mejoramiento del desempeño en la ejecución del algoritmo con relación a una implementación base. En el caso de implementación con la herramimenta de síntesis de alto nivel se definen parámetros asociados al tamaño del dominio de la solución y directivas de optimización, para la determinación del efecto en el desempe
dc.description.abstractA scheme widely used in scientific computing is known as stencil computation. It is the central kernel of linear algebra algorithms, partial differential equations (PDE) and image processing. However, the performance of stencil-based algorithms is limited by the remarkable difference between maximum throughput and maximum memory bandwidth in multi-core systems and graphics computing units (GPUs). For this reason the study of methods for its optimization has been of great interest. Some methods are based on optimizing the use of memory, on which various jobs have been developed in CPU-based systems and heterogeneous architectures. Because these optimization methods persist with performance limitations, some authors have proposed schemes for systems based on programmable field gate arrays (FPGA). In this thesis, two methodologies for the optimization of FPGA-based architectures for stencil computing are presented. For some architectures the design is done at the hardware level based on the Glushkov model using VHDL. In other cases, hardware/software co-design is carried out using high-level synthesis tools. As a case study, the implementation and performance evaluation of a stencil-based architecture is proposed for the approximation to the solution of heat propagation problems modeled with the one-dimensional heat equation and the two-dimensional Laplace equation
dc.format.extentix, 146 páginas
dc.format.mimetypeapplication/pdf
dc.language.isospa
dc.publisherUniversidad Nacional de Colombia
dc.rights.urihttp://creativecommons.org/licenses/by/4.0/
dc.subject.ddc000 - Ciencias de la computación, información y obras generales
dc.titleOptimización de algoritmos para computación científica sobre arquitecturas heterogéneas
dc.typeTrabajo de grado - Doctorado
dc.type.driverinfo:eu-repo/semantics/doctoralThesis
dc.type.versioninfo:eu-repo/semantics/acceptedVersion
dc.publisher.programManizales - Ingeniería y Arquitectura - Doctorado en Ingeniería - Automática
dc.contributor.researchgroupPercepción y Control Inteligente (PCI)
dc.description.degreelevelDoctorado
dc.description.degreenameDoctor en Ingeniería - Ingeniería Automática
dc.description.researchareaDiseño Electrónico
dc.identifier.instnameUniversidad Nacional de Colombia
dc.identifier.reponameRepositorio Institucional Universidad Nacional de Colombia
dc.identifier.repourlhttps://repositorio.unal.edu.co/
dc.publisher.departmentDepartamento de Ingeniería Eléctrica y Electrónica
dc.publisher.facultyFacultad de Ingeniería y Arquitectura
dc.publisher.placeManizales, Colombia
dc.publisher.branchUniversidad Nacional de Colombia - Sede Manizales
dc.relation.referencesBandishti, V. ; Pananilath, I. ; Bondhugula, U.: Tiling Stencil Computations to Maximize Parallelism. En: Proceedings of the IEEE International Conference for High Performance Computing, Networking, Storage and Analysis IEEE, 2012, p. 1–11
dc.relation.referencesBeauchamp, Michael J. ; Hauck, Scott ; Underwood, Keith D. ; Hemmert, K S.: Architectural modifications to enhance the floating-point performance of FPGAs. En: IEEE Transactions on Very Large Scale Integration (VLSI) Systems 16 (2008), Nr. 2, p. 177–187
dc.relation.referencesBelanović, Pavle ; Leeser, Miriam: A library of parameterized floating-point modules and their use. En: International Conference on Field Programmable Logic and Applications Springer, 2002, p. 657–666
dc.relation.referencesBrodtkorb, A. R. ; Dyken, C. ; Hagen, T. R. ; Hjelmervik, J. M. ; Storaasli, O. O.: State-of-the-art in heterogeneous computing. En: Scientific Programming, IOS Press Amsterdam 18 (2010), p. 1–33
dc.relation.referencesCaffarena, Gabriel ; López, Juan A. ; Leyva, Gerardo ; Carreras, Carlos ; Nieto- Taladriz, Octavio: Architectural synthesis of fixed-point DSP datapaths using fpgas. En: International Journal of Reconfigurable Computing 2009 (2009), p. 8
dc.relation.referencesCattaneo, Riccardo ; Natale, Giuseppe ; Sicignano, Carlo ; Sciuto, Donatella ; Santambrogio, Marco D.: On how to accelerate iterative stencil loops: a scalable streaming-based approach. En: ACM Transactions on Architecture and Code Optimi- zation (TACO) 12 (2016), Nr. 4, p. 53
dc.relation.referencesCecilia, J. M. ; Abellán, J. L. ; Fernández, J. ; Acacio, M. E. ; Garc´ıa, J. M. ; Ujaldón, M.: Stencil computations on heterogeneous platforms for the Jacobi method: GPUs versus Cell BE. En: The Journal of Supercomputing, Springer Science+Business Media 62 (2012), Nr. 2, p. 787–803
dc.relation.referencesChong, Yee J. ; Parameswaran, Sri: Configurable multimode embedded floatingpoint units for FPGAs. En: IEEE transactions on very large scale integration (VLSI) systems 19 (2011), Nr. 11, p. 2033–2044
dc.relation.referencesChugh, Nitin ; Vasista, Vinay ; Purini, Suresh ; Bondhugula, Uday: A DSL compiler for accelerating image processing pipelines on FPGAs. En: Parallel Architecture and Compilation Techniques (PACT), 2016 International Conference on IEEE, 2016, p. 327–338
dc.relation.referencesCong, Jason ; Li, Peng ; Xiao, Bingjun ; Zhang, Peng: An optimal microarchitecture for stencil computation acceleration based on non-uniform partitioning of data reuse buffers. En: Proceedings of the 51st annual design automation conference ACM, 2014, p. 1–6
dc.relation.referencesDatta, Kaushik ; Kamil, Shoaib ;Williams, Samuel ; Oliker, Leonid ; Shalf, John ; Yelick, Katherine: Optimization and performance modeling of stencil computations on modern microprocessors. En: SIAM review 51 (2009), Nr. 1, p. 129–159
dc.relation.referencesDeest, Gaël ; Estibals, Nicolas ; Yuki, Tomofumi ; Derrien, Steven ; Rajopadhye, Sanjay: Towards Scalable and Efficient FPGA Stencil Accelerators. En: 6th Internatio- nal Workshop on Polyhedral Compilation Techniques - IMPACT’16, 2016
dc.relation.referencesDeest, Gaël ; Yuki, Tomofumi ; Rajopadhye, Sanjay ; Derrien, Steven: One size does not fit all: Implementation trade-offs for iterative stencil computations on FPGAs. En: Field Programmable Logic and Applications (FPL), 2017 27th International Conference on IEEE, 2017, p. 1–8
dc.relation.referencesDeschamps, Jean-Pierre ; Bioul, Gery J. ; Sutter, Gustavo D.: Synthesis of arith- metic circuits: FPGA, ASIC and embedded systems. John Wiley & Sons, 2006
dc.relation.referencesDetrey, Jérémie ; de Dinechin, Florent: Parameterized floating-point logarithm and exponential functions for FPGAs. En: Microprocessors and Microsystems 31 (2007), Nr. 8, p. 537–545
dc.relation.referencesDido, Jérôme ; Geraudie, Nicolas ; Loiseau, Ludovic ; Payeur, Olivier ; Savaria, Yvon ; Poirier, Daniel: A flexible floating-point format for optimizing data-paths and operators in FPGA based DSPs. En: Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays ACM, 2002, p. 50–55
dc.relation.referencesde Dinechin, Florent ; Detrey, Jérémie ; Cret¸, Octavian ; Tudoran, Radu: When FPGAs are better at floating-point than microprocessors. (2007)
dc.relation.referencesDursun, Hikmet ; Nomura, Ken-Ichi ; Peng, Liu ; Seymour, Richard ; Wang, Weiqiang ; Kalia, Rajiv K. ; Nakano, Aiichiro ; Vashishta, Priya: A multilevel parallelization framework for high-order stencil computations. En: European Conference on Parallel Processing Springer, 2009, p. 642–653
dc.relation.referencesEcheverría, Pedro ; López-Vallejo, Marisa: Customizing floating-point units for FPGAs: Area-performance-standard trade-offs. En: Microprocessors and Microsystems 35 (2011), Nr. 6, p. 535–546
dc.relation.referencesEscobedo, Juan ; Lin, Mingjie: Graph-Theoretically Optimal Memory Banking for Stencil-Based Computing Kernels. En: Proceedings of the 2018 ACM/SIGDA Interna- tional Symposium on Field-Programmable Gate Arrays ACM, 2018, p. 199–208
dc.relation.referencesde Fine Licht, Johannes ; Blott, Michaela ; Hoefler, Torsten: Designing scalable FPGA architectures using high-level synthesis. En: Proceedings of the 23rd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP’18) Vol. 53 ACM, 2018, p. 403–404
dc.relation.referencesFu, Haohuan ; Osborne,William ; Clapp, Robert G. ;Mencer, Oskar ; Luk,Wayne: Accelerating seismic computations using customized number representations on FPGAs. En: EURASIP Journal on Embedded Systems 2009 (2009), p. 3
dc.relation.referencesHo, Chun H. ; Leong, Monk-Ping ; Leong, Philip Heng W. ; Becker, J¨urgen ; Glesner, Manfred: Rapid prototyping of FPGA based floating point DSP systems. En: Rapid System Prototyping, 2002. Proceedings. 13th IEEE International Workshop on IEEE, 2002, p. 19–24
dc.relation.referencesHo, Chun H. ; Yu, Chi W. ; Leong, Philip ; Luk, Wayne ; Wilton, Steven J.: Floating-point FPGA: architecture and modeling. En: IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17 (2009), Nr. 12, p. 1709–1718
dc.relation.referencesHockert, Neil ; Compton, Katherine: Improving floating-point performance in less area: Fractured floating point units (FFPUs). En: Journal of Signal Processing Systems 67 (2012), Nr. 1, p. 31–46
dc.relation.referencesKobayashi, R. ; Takamaeda-Yamazaki, S. ; Kise, K.: Towards a Low-Power Accelerator of Many FPGAs for Stencil Computations. En: Proceedings of the IEEE Third International Conference on Networking and Computing IEEE, 2012, p. 343–349
dc.relation.referencesKobayashi, Ryohei ; Oobata, Yuma ; Fujita, Norihisa ; Yamaguchi, Yoshiki ; Boku, Taisuke: OpenCL-ready High Speed FPGA Network for Reconfigurable High Performance Computing. En: Proceedings of the International Conference on High Per- formance Computing in Asia-Pacific Region ACM, 2018, p. 192–201
dc.relation.referencesLászló, Endre ; Nagy, Zoltán ; Giles, Michael B. ; Reguly, István ; Appleyard, Jeremy ; Szolgay, Peter: Analysis of parallel processor architectures for the solution of the Black-Scholes PDE. En: Circuits and Systems (ISCAS), 2015 IEEE International Symposium on IEEE, 2015, p. 1977–1980
dc.relation.referencesLiu, Junyi ; Bayliss, Samuel ; Constantinides, George A.: Offline synthesis of online dependence testing: Parametric loop pipelining for HLS. En: Field-Programmable Cus- tom Computing Machines (FCCM), 2015 IEEE 23rd Annual International Symposium on IEEE, 2015, p. 159–162
dc.relation.referencesLiu, Junyi ; Wickerson, John ; Bayliss, Samuel ; Constantinides, George A.: Polyhedral-based Dynamic Loop Pipelining for High-Level Synthesis. En: IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2017)
dc.relation.referencesLiu, Junyi ; Wickerson, John ; Constantinides, George A.: Loop splitting for efficient pipelining in high-level synthesis. En: 2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) IEEE, 2016, p. 72–79
dc.relation.referencesMokhov, Andrey ; De Gennaro, Alessandro ; Tarawneh, Ghaith ; Wray, Jonny ; Lukyanov, Georgy ; Mileiko, Sergey ; Scott, Joe ; Yakovlev, Alex ; Brown, Andrew: Language and hardware acceleration backend for graph processing. En: Spe- cification and Design Languages (FDL), 2017 Forum on IEEE, 2017, p. 1–7
dc.relation.referencesMondigo, Antoniette ; Ueno, Tomohiro ; Tanaka, Daichi ; Sano, Kentaro ; Yamamoto, Satoru: Design and scalability analysis of bandwidth-compressed stream computing with multiple FPGAs. En: Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC), 2017 12th International Symposium on IEEE, 2017, p. 1–8
dc.relation.referencesMuranushi, Takayuki ; Makino, Junichiro: Optimal temporal blocking for stencil computation. En: Procedia Computer Science 51 (2015), p. 1303–1312
dc.relation.referencesNacci, Alessandro A. ; Rana, Vincenzo ; Bruschi, Francesco ; Sciuto, Donatella ; Beretta, Ivan ; Atienza, David: A high-level synthesis flow for the implementation of iterative stencil loop algorithms on FPGA devices. En: Proceedings of the 50th annual design automation conference ACM, 2013, p. 52
dc.relation.referencesNatale, Giuseppe ; Stramondo, Giulio ; Bressana, Pietro ; Cattaneo, Riccardo ; Sciuto, Donatella ; Santambrogio, Marco D.: A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops. En: Computer- Aided Design (ICCAD), 2016 IEEE/ACM International Conference on IEEE, 2016, p. 1–8
dc.relation.referencesde Oliveira, Cristiano B. ; Cardoso, Joao M. ; Marques, Eduardo: High-level synthesis from C vs. a DSL-based approach. En: Parallel & Distributed Processing Symposium Workshops (IPDPSW), 2014 IEEE International IEEE, 2014, p. 257–262
dc.relation.referencesPeng, Liu ; Seymour, Richard ; Nomura, Ken-ichi ; Kalia, Rajiv K. ; Nakano, Aiichiro ; Vashishta, Priya ; Loddoch, Alexander ; Netzband, Michael ; Volz, William R. ; Wong, Chap C.: High-order stencil computations on multicore clusters. En: Parallel & Distributed Processing, 2009. IPDPS 2009. IEEE International Symposium on IEEE, 2009, p. 1–11
dc.relation.referencesReagen, Brandon ; Adolf, Robert ; Shao, Yakun S. ; Wei, Gu-Yeon ; Brooks, David: Machsuite: Benchmarks for accelerator design and customized architectures. En: Workload Characterization (IISWC), 2014 IEEE International Symposium on IEEE, 2014, p. 110–119
dc.relation.referencesReiche, Oliver ; ¨ Ozkan, M A. ; Hannig, Frank ; Teich, J¨urgen ; Schmid, Moritz: Loop parallelization techniques for fpga accelerator synthesis. En: Journal of Signal Processing Systems 90 (2018), Nr. 1, p. 3–27
dc.relation.referencesRocher, Romuald ; Menard, Daniel ; Herve, Nicolas ; Sentieys, Olivier: Fixedpoint configurable hardware components. En: EURASIP Journal on Embedded Systems 2006 (2006), Nr. 1, p. 023197
dc.relation.referencesSakai, Ryotaro ; Sugimoto, Naru ; Miyajima, Takaaki ; Fujita, Naoyuki ; Amano, Hideharu: Acceleration of full-pic simulation on a cpu-fpga tightly coupled environment. En: Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2016 IEEE 10th Inter- national Symposium on IEEE, 2016, p. 8–14
dc.relation.referencesSano, K. ; Hatsuda, Y. ; Yamamoto, S.: Multi-FPGA Accelerator for Scalable Stencil Computation with Constant Memory-Bandwidth. En: IEEE Transactions on Parallel and Distributed Systems 25 (2014), March, Nr. 3, p. 695–705
dc.relation.referencesSano, K. ; Luzhou, W. ; Hatsuda, Y. ; Yamamoto, S.: Scalable FPGA-Array for High-Performance and Power-Efficient Computation Based on Difference Schemes. En: Proceedings of the Second International Workshop on High-Performance Reconfigurable Computing Technology and Applications IEEE, 2008, p. 1–9
dc.relation.referencesSano, Kentaro: FPGA-based systolic computational-memory array for scalable stencil computations. En: High-Performance Computing Using FPGAs. Springer, 2013, p. 279–303
dc.relation.referencesSchmid, Moritz ; Reiche, Oliver ; Schmitt, Christian ; Hannig, Frank ; Teich, J¨urgen: Code generation for high-level synthesis of multiresolution applications on fpgas. En: arXiv preprint arXiv:1408.4721 (2014)
dc.relation.referencesSchmitt, Christian ; Schmid, Moritz ; Kuckuk, Sebastian ; K¨ostler, Harald ; Teich, J¨urgen ; Hannig, Frank: Reconfigurable Hardware Generation of Multigrid Solvers with Conjugate Gradient Coarse-Grid Solution. En: Parallel Processing Letters 28 (2018), Nr. 04, p. 1850016
dc.relation.referencesShao, Yakun S. ; Reagen, Brandon ;Wei, Gu-Yeon ; Brooks, David: Aladdin: A pre- RTL, power-performance accelerator simulator enabling large design space exploration of customized architectures. En: ACM SIGARCH Computer Architecture News Vol. 42 IEEE Press, 2014, p. 97–108
dc.relation.referencesShen, Chongfei ; Liu, Hongtao ; Xie, XB ; Luk, Keith D. ; Hu, Yong: Selection of floating-point or fixed-point for adaptive noise canceller in somatosensory evoked potential measurement. En: Engineering in Medicine and Biology Society, 2007. EMBS 2007. 29th Annual International Conference of the IEEE IEEE, 2007, p. 3274–3277
dc.relation.referencesdel Sozzo, Emanuele ; Baghdadi, Riyadh ; Amarasinghe, Saman ; Santambrogio, Marco D.: A Common Backend for Hardware Acceleration on FPGA. En: Com- puter Design (ICCD), 2017 IEEE International Conference on IEEE, 2017, p. 427–430
dc.relation.referencesStrenski, Dave ; Simkins, Jim ; Walke, Richard ; Wittig, Ralph: Evaluating fpgas for floating-point performance. En: High-Performance Reconfigurable Computing Technology and Applications, 2008. HPRCTA 2008. Second International Workshop on IEEE, 2008, p. 1–6
dc.relation.referencesStrzodka, R. ; Shaheen, M. ; Pajak, D. ; Seidel, H.: Cache oblivious parallelograms in iterative stencil computations. En: Proceedings of the 24th ACM International Conference on Supercomputing ACM, 2010, p. 49–59
dc.relation.referencesStrzodka, R. ; Shaheen, M. ; Pajak, D. ; Seidel, H.: Cache Accurate Time Skewing in Iterative Stencil Computations. En: Proceedings of the IEEE International Conference on Parallel Processing IEEE, 2011, p. 571–581
dc.relation.referencesTang, Yuan ; Chowdhury, Rezaul A. ; Kuszmaul, Bradley C. ; Luk, Chi-Keung ; Leiserson, Charles E.: The pochoir stencil compiler. En: Proceedings of the twenty- third annual ACM symposium on Parallelism in algorithms and architectures ACM, 2011, p. 117–128
dc.relation.referencesTe Ewe, Chun ; Cheung, Peter Y. ; Constantinides, George A.: Dual fixed-point: An efficient alternative to floating-point computation. En: International Conference on Field Programmable Logic and Applications Springer, 2004, p. 200–208
dc.relation.referencesUsui, T. ; Kobayashi, R. ; Kise, K.: A Challenge of Portable and High-Speed FPGA Accelerator. En: Proceedings of the 11th International Symposium on Applied Reconfi- gurable Computing, ARC 2015, 2015, p. 383–392
dc.relation.referencesVera, G A. ; Pattichis, Marios ; Lyke, James: A dynamic dual fixed-point arithmetic architecture for FPGAs. En: International Journal of Reconfigurable Computing 2011 (2011)
dc.relation.referencesWaidyasooriya, Hasitha M. ; Endo, Tsukasa ; Hariyama, Masanori ; Ohtera, Yasuo: OpenCL-Based FPGA Accelerator for 3D FDTD with Periodic and Absorbing Boundary Conditions. En: International Journal of Reconfigurable Computing 2017 (2017)
dc.relation.referencesWaidyasooriya, Hasitha M. ; Takei, Yasuhiro ; Tatsumi, Shunsuke ; Hariyama, Masanori: OpenCL-based FPGA-platform for stencil computation and its optimization methodology. En: IEEE Transactions on Parallel and Distributed Systems 28 (2017), Nr. 5, p. 1390–1402
dc.relation.referencesWang, Shuo ; Liang, Yun: A comprehensive framework for synthesizing stencil algorithms on FPGAs using OpenCL model. En: Design Automation Conference (DAC), 2017 54th ACM/EDAC/IEEE IEEE, 2017, p. 1–6
dc.relation.referencesWilliams, Samuel ;Waterman, Andrew ; Patterson, David: Roofline: an insightful visual performance model for multicore architectures. En: Communications of the ACM 52 (2009), Nr. 4, p. 65–76
dc.relation.referencesYu, Chi W. ; Lamoureux, Julien ; Wilton, Steven J. ; Leong, Philip H. ; Luk, Wayne: The Coarse-Grained/Fine-Grained Logic Interface in FPGAs with Embedded Floating-Point Arithmetic Units. En: International Journal of Reconfigurable Compu- ting 2008 (2008)
dc.relation.referencesZohouri, Hamid R. ; Podobas, Artur ; Matsuoka, Satoshi: Combined spatial and temporal blocking for high-performance stencil computation on FPGAs using OpenCL. En: Proceedings of the 2018 ACM/SIGDA International Symposium on Field- Programmable Gate Arrays ACM, 2018, p. 153–162
dc.rights.accessrightsinfo:eu-repo/semantics/openAccess
dc.subject.proposalComputación heterogénea
dc.subject.proposalFPGA
dc.subject.proposalComputación con esténcil
dc.subject.proposalEcuación de calor
dc.subject.proposalEcuación de Laplace
dc.subject.proposalSíntesis de alto nivel
dc.subject.unescoProgramación informática
dc.title.translatedAlgorithm optimization for scientific computing on heterogeneous architectures
dc.type.coarhttp://purl.org/coar/resource_type/c_db06
dc.type.coarversionhttp://purl.org/coar/version/c_ab4af688f83e57aa
dc.type.contentText
oaire.accessrightshttp://purl.org/coar/access_right/c_abf2
oaire.awardtitleBeca Estudiante Sobresaliente de Posgrado (2012-2014)
oaire.fundernameUniversidad Nacional de Colombia
dcterms.audience.professionaldevelopmentPúblico general


Archivos en el documento

Thumbnail

Este documento aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del documento

Reconocimiento 4.0 InternacionalEsta obra está bajo licencia internacional Creative Commons Reconocimiento-NoComercial 4.0.Este documento ha sido depositado por parte de el(los) autor(es) bajo la siguiente constancia de depósito